Форум программистов
 

Восстановите пароль или Зарегистрируйтесь на форуме, о проблемах и с заказом рекламы пишите сюда - alarforum@yandex.ru, проверяйте папку спам!

Вернуться   Форум программистов > IT форум > Помощь студентам
Регистрация

Восстановить пароль

Купить рекламу на форуме - 42 тыс руб за месяц

Ответ
 
Опции темы Поиск в этой теме
Старый 08.12.2010, 11:46   #1
Snejnaya
Форумчанин
 
Регистрация: 12.05.2010
Сообщений: 219
По умолчанию ошибка в тестбенче verilog

выдает ошибку в выделенной строке(((
тект ошибки:
Error (10119): Verilog HDL Loop Statement error at avalon_pwm_test2.v(16): loop with non-constant terminating condition exceeds 5000 iterations, the built-in limit

текст тестбенча:
Код:
`timescale 1ns/1ps

module avalon_pwm2_test; 

reg clk,clr_n,wr_n, cs;
reg [31:0] wr_data;
reg [1:0] addr;
wire [7:0] result;

initial 
begin 

clk=1'b0; 

forever #10 clk = ~clk; 
end

initial begin 
addr=0;
forever #100 addr=addr+1;
end

 initial begin 
wr_data=32'h1;
forever #100 wr_data=wr_data-8'h1;
end


 initial begin 
cs=1;
wr_n=0;
clr_n=1;
#300 $finish; 
end

avalon_pwm test_dev(clk, wr_data, cs, wr_n, addr, clr_n, result);
endmodule
в тестируемом модуле сlk используется только в конструкциях вида
Код:
always @(posedge clk or negedge clr_n)
begin
end
В чем может быть проблема? Заранее спасибо за внимание...
Snejnaya вне форума Ответить с цитированием
Старый 08.12.2010, 12:02   #2
raxp
Старожил
 
Регистрация: 29.09.2009
Сообщений: 9,713
По умолчанию

попробуйте покопаться в настройках...
Цитата:
Assignment -> Settings -> Analysis&Synthesis -> More Settings ... -> Iteration limit for constant Verilog loops
Разработки и научно-технические публикации :: Видеоблог :: Твиттер
Radar systems engineer & Software developer of industrial automation
raxp вне форума Ответить с цитированием
Старый 08.12.2010, 13:49   #3
Snejnaya
Форумчанин
 
Регистрация: 12.05.2010
Сообщений: 219
По умолчанию

raxp

странно, но в more settings у меня нет ничего похожего на Iteration limit for constant Verilog loops(( Версия квартуса 7.1.
Snejnaya вне форума Ответить с цитированием
Старый 08.12.2010, 13:55   #4
raxp
Старожил
 
Регистрация: 29.09.2009
Сообщений: 9,713
По умолчанию

квартуса под рукой нет, но совет касается именно квартуса ...посмотрите в справке для вашей версии данный параметр.
Разработки и научно-технические публикации :: Видеоблог :: Твиттер
Radar systems engineer & Software developer of industrial automation
raxp вне форума Ответить с цитированием
Старый 08.12.2010, 14:17   #5
Snejnaya
Форумчанин
 
Регистрация: 12.05.2010
Сообщений: 219
По умолчанию

raxp

спасибо за подсказку, вроде заработало!
Snejnaya вне форума Ответить с цитированием
Ответ


Купить рекламу на форуме - 42 тыс руб за месяц



Похожие темы
Тема Автор Раздел Ответов Последнее сообщение
Nero - ошибка драйвера DMA. ошибка CRC NecRoMat Софт 5 09.05.2012 01:29
Ошибка в коде, ошибка в css или это проблема с совместимостью с браузерами? ankris HTML и CSS 5 23.11.2010 16:58
Verilog, только для симуляции или нечто большее? Ivan_32 Свободное общение 0 28.08.2010 10:29